Fix sim_debug to display actual instruction count.
1 file changed